台積電技術論壇揭3大亮點 專家:領先態勢明確

台積電(2330)北美技術論壇登場,會中揭示最新的製程技術、先進封裝技術及三維積體電路(3DIC)技術。半導體產業專家指出,A16技術、超級電軌和系統級晶圓是台積電這次技術論壇的3大亮點,充分展現技術持續領先態勢。

工研院產科國際所研究總監楊瑞臨表示,有別於三星(Samsung)在3奈米製程改採環繞閘極(GAA)架構,台積電(2330)3奈米製程技術依然採用鰭式場效電晶體(FinFET)架構,2奈米製程技術才改採奈米片架構。

楊瑞臨說,電晶體架構自FinFET改為奈米片是一大轉變,隨著台積電2奈米製程技術將於2025年下半年量產,相信台積電在轉換奈米片架構進展順利。

楊瑞臨表示,台積電新發表的A16技術,將結合奈米片電晶體及超級電軌(SuperPowerRail)架構,預計於2026年量產。其中,超級電軌技術是將供電網路移到晶圓背面,在晶圓正面釋出更多訊號網路的布局空間,藉以提升邏輯密度和效能。

英特爾(Intel)將這種晶背供電技術命名為PowerVia,並搶先對外宣布投入發展。楊瑞臨說,台積電這次詳細說明採用超級電軌的A16技術在晶片密度等方面表現,可見技術發展順利,整備度高。

台積電指出,與2奈米的N2P製程相比,A16技術在相同工作電壓下,速度增快8%至10%;在相同速度下,功耗降低15%至20%,晶片密度提升1.1倍,適用於具有複雜訊號布線及密集供電網路的高效能運算(HPC)產品。

楊瑞臨表示,台積電系統級晶圓(SoW)技術是達成1兆個電晶體的繪圖處理器(GPU)關鍵技術,可以堆疊多顆邏輯IC。

台積電指出,SoW技術可讓12吋晶圓容納大量晶粒,提供更多運算能力,大幅減少資料中心使用空間,並提升每瓦效能。採用CoWoS技術的晶片堆疊版本預計2027年準備就緒,能夠整合系統整合晶片(SoIC)、高頻寬記憶體(HBM)及其他元件,打造一個強大且運算能力媲美資料中心伺服器機架或整台伺服器的晶圓級系統。

楊瑞臨說,台積電充分展現技術領先態勢,這將發揮磁吸效果,提高客戶穩定度。台積電同時針對不同需求類型的客戶推出「物美價廉」的製程技術,將有助進一步鞏固客戶關係。


延伸閱讀
最新財經新聞
人氣財經新聞
行動版 電腦版